次世代封装 英特尔押宝玻璃基板

英特尔18日宣布,将推出业界首款下世代先进封装的玻璃基板,预估2026~2030年量产。图/美联社

英特尔执行长基辛格。图/路透

英特尔先进封装技术进程

英特尔抢攻先进封装制程,向玻璃基板迈出一大步。为解决有机材质基板用于晶片封装产生翘曲问题,英特尔18日宣布,将推出业界首款下世代先进封装的玻璃基板,预估2026~2030年量产。

业者指出,玻璃材质的晶片基板,受惠于低间距及更小的膨胀系数,生产制程具优势,预计相关晶片最早可在2024年年底前生产,抢攻大型数据中心GPU及加速器市场,台厂积极配合英特尔研发,健鼎、欣兴及南电等可望迎来商机。

英特尔以先进封装延续摩尔定律至2030年,从系统级单晶片(SoC)转向系统级封装(system-in-package),导入嵌入式多晶片互连桥接(EMIB)封装技术、逻辑晶片3D堆叠封装技术(Foveros),此外,新开发的3D封装技术Foveros Omni、Foveros Direct据传亦准备投入量产。

英特尔开发先进封装技术,一方面能够提升晶片密度,目标到2030年在一个封装中,实现1兆个晶体管。另一方面,可以满足自家产品、代工客户产品的异质整合需求,提高晶粒(Chiplet)灵活性、并降低成本和功耗。

■强调与有机材质基板共存

看好玻璃材质的刚性以及较低的热膨胀系数,英特尔院士暨组装与测试总监Pooya Tadayon指出,玻璃基板有很大优势,用来降低连接线路的间距,适用于大尺寸封装。

Pooya Tadayon表示,使用玻璃材料能够提高晶片供电效率,互连密度可以提高10倍,将带宽近翻倍提升至448G。他强调,玻璃基板将逐渐普及,并与有机材质基板共存,而不是取代。

■法人乐观先进封装市场

英特尔计划于2026至2030年进入量产,相关业者表示,目前处在实验、送样阶段,加工稳定性仍有待改善。不过法人就先进封装市场依旧保持乐观,并认为市场将快速成长。目前先进封装多数应用在包括英特尔、AMD及NVDA的资料中心晶片,估计2023年合计出货量900万颗。

其中英特尔已规划2024年主流NB用CPU平台Meteor Lake,导入先进封装Foveros技术,在interposer(中介层)上使用4颗晶片,预估2024年使用先进封装晶片将10倍数成长至9,000万颗。

未来在低延迟以及线下使用需求推升下,更可能进一步使用在手机端推论晶片,大量参数的LLM模型需要手机端装上更大面积先进封装的晶片,最快2025年可能导入5.5亿支高阶机种,市场需求充满想像。